Search found 632 matches

by janbredenbeek
Wed Feb 07, 2018 12:29 pm
Forum: Software & Programming
Topic: peek-a-oh
Replies: 6
Views: 2753

Re: peek-a-oh

You could try the first entry of the slave block table, which is pointed to by SV.BTBAS ($28058). This will always be marked 'unusable' (all zeroes) since this entry corresponds to the first slave block in RAM, which starts at $28000 (or $30000 with dual-screen Minerva) and is unusable because the s...
by janbredenbeek
Tue Feb 06, 2018 4:49 pm
Forum: Software & Programming
Topic: Odd behavour
Replies: 24
Views: 9657

Re: Odd behavour

Hi Jan, The compatibility fix could be to modify the code to read : a(x+1)=two( (x) ) Does this now work correctly on both systems ? It is a pity if code tweaks are necessary, but they are better than nothing... Regards, Steve. On SMSQmulator I get '2 0' and a(3) equals 200. Haven't been able yet t...
by janbredenbeek
Sun Feb 04, 2018 10:49 pm
Forum: Software & Programming
Topic: Assembly Language eComic - Issue 4 now available
Replies: 11
Views: 5928

Re: Assembly Language eComic - Issue 4 now available

Thanks Norman, looks like I've got something interesting to read this week :)

regards, Jan.
by janbredenbeek
Sun Feb 04, 2018 10:44 pm
Forum: Software & Programming
Topic: Odd behavour
Replies: 24
Views: 9657

Re: Odd behavour

Hi Jan, At present I do not have acesss to my QDOS system, being over the pond. Can you please try the following code under QDOS and SMSQ/E : 100 dim a(5) : x=2 : a(x+1)= two(x) : print x!!a(x) 110 DEFine FuNction two(x) 120 x=x+1 : RETurn 200 END DEFine QDOS (Minerva): 3 200 SMSQ/E (QPC2): 3 0 (wh...
by janbredenbeek
Sun Feb 04, 2018 2:52 pm
Forum: Software & Programming
Topic: Odd behavour
Replies: 24
Views: 9657

Re: Odd behavour

Hi, If I remember correctly, the QDOS 'expression evaluator' evaluates a statement from left to right, (except where parentheseses override priority). So the QDOS evaluation is correct ? As for SMSQ/E, I cannot remember reading about expression evaluation priorities at all... or any changes to them...
by janbredenbeek
Sun Feb 04, 2018 1:04 am
Forum: Software & Programming
Topic: Odd behavour
Replies: 24
Views: 9657

Re: Odd behavour

What happens here is that calling function 'two' has a side effect of incrementing x by one. In QDOS, a(x) is evaluated first as the variable to be set (when x = 2), and then 'two' is called which returns 200 and increments x to 3, but the result is stored in a(2) because x was 2 at the start of the...
by janbredenbeek
Fri Jan 26, 2018 3:58 pm
Forum: Software & Programming
Topic: QL Graphics
Replies: 43
Views: 18554

Re: QL Graphics

I wonder if anyone (Nasta?) knows whether the 8301 starts flashing in the _next_ pixel having detected a FLASH bit set, or on the current pixel? And whether the same applies at the end of the FLASH. (We know it is auto disabled anyway after the end of a scanline.) I've done some research with this ...
by janbredenbeek
Fri Jan 26, 2018 3:09 pm
Forum: Software & Programming
Topic: Sections or includes in GST/Quanta Assembler
Replies: 9
Views: 3549

Re: Sections or includes in GST/Quanta Assembler

Just one note: if you only have one _asm file, you can use the -NOLINK option on the GST/QMAC assembler and it will generate the _BIN file directly without intermediate _REL file, thus removing the need to use the linker. You still need to use a SECTION directive though as this indicates that you're...
by janbredenbeek
Tue Jan 23, 2018 9:40 pm
Forum: Hardware
Topic: Q68 New users and general usage thread
Replies: 242
Views: 93031

Re: Q68 New users and general usage thread

Peter wrote:Thanks, I didn't want to register for a minimal change, maybe you can correct data bus width to 16.
Oops, I thought I'd read 32 bits data bus where the manual says 32 bits address bus. I'll correct it asap.

Jan.
by janbredenbeek
Tue Jan 23, 2018 3:17 pm
Forum: Hardware
Topic: Q68 New users and general usage thread
Replies: 242
Views: 93031

Re: Q68 New users and general usage thread

I've added a page to the QL Wiki about the Q68. It can be found at http://qlwiki.qlforum.co.uk/doku.php?id=qlwiki:q68.
Please feel free to make changes or additions if necessary.

Jan.